Leave Your Message
露光装置の原理と装置

ニュース

露光装置の原理と装置

2024-05-13

リソグラフィープロセスの原理では、フィルム写真の現像を想像できます。マスクプレートはフィルムに相当し、リソグラフィーマシンは現像テーブルであり、マスクプレート上のチップ回路をフォトレジストフィルムに1つずつコピーします。次に、エッチング技術によって回路がウェーハ上に「ペイント」されます。


もちろん、実際のプロセスはそれほど単純ではありません。ASML の典型的なイマーシブ ステップ スキャン リソグラフィー マシンを例として、リソグラフィー マシンがどのように機能するかを確認します。まず、レーザー光、補正後、エネルギー コントローラー、ビーム フォーミング デバイスなどです。をフォトマスク机に入れ、デザイン会社のフォトマスクを装着し、対物レンズを通して露光机に投影します。 ここにあるのは、感光性のフォトレジストでコーティングされた 8 インチまたは 12 インチのウェハで、紫外線によってウェハ上の回路がエッチングされます。


レーザーは光源の生成を担当し、光源はプロセスプロセスに決定的な影響を与えます。半導体産業ノードの継続的な改善に伴い、リソグラフィーレーザーの波長も436nm、365nmの近紫外まで絶えず短縮されています。 (NUV) レーザーから 246nm、193nm の深紫外 (DUV) レーザー、現在、DUV リソグラフィー装置はリソグラフィー装置の多数のアプリケーションです。波長は 193nm、光源は ArF (フッ化アルゴン) エキシマ レーザー、45nm からです。 10/7nmプロセスまではこのリソグラフィー装置を使用できますが、7nmノードまではDUVリソグラフィーの限界があるため、インテル、サムスン、TSMCは7nmノードで極端紫外線(EUV)リソグラフィー技術を導入する予定であり、GlobalFoundriesも7nm EUVを研究していますプロセスを進めていましたが、現在は放棄しています。 極端紫外光(EUV)を光源とする露光装置がEUV露光装置ですが、もちろん光源を変更すれば済むほど単純なものではありません。


なぜEUVリソグラフィーが必要なのでしょうか?

EUV の利点の 1 つはチップ処理ステップの削減であり、従来の多重露光技術の代わりに EUV を使用すると、堆積、エッチング、測定のステップが大幅に削減されます。 現在、EUV技術は主に論理プロセスで使用されており、2019年の受注量・需要の増加につながっています。


現在使用されている193nm光源DUVは実際には2000年代から使用されているが、より短波長の光源の技術に行き詰まっており、波長157nmのリソグラフィー技術は実際に2003年にリソグラフィー装置があったが、比較すると進歩はなかった193nm の波長までの透過率はわずか 25% です。 ただし、157nm の光波は 193nm レンズに吸収されるため、レンズとフォトレジストを再開発する必要があり、その時点では安価な液浸 193nm テクノロジーが利用可能であったため、現在は 193nm DUV リソグラフィーが使用されています。


もちろん、Intel を例に挙げると、なぜ同じ光源が非常に多くの異なるプロセス ノードから得られるのかを知りたくなるはずです。2000 年には 180nm が使用されていましたが、現在は 10nm です。実際、リソグラフィー マシンが、半導体プロセスプロセスでは、リソグラフィー装置の精度は光源の波長と対物レンズの開口数に関係します。 計算式は次のとおりです。

(プロセス∝1/)リソグラフィー装置の解像度 = k1*λ/NA(k1 は定数、リソグラフィー機によって k1 は異なります。λ は光源の波長を指します。NA は対物レンズの開口数です。したがって、リソグラフィー機の解像度は光源の波長と対物レンズの開口数は波長が短いほど良く、NAは大きいほど良いため、露光機の解像度は高く、プロセス技術は高度になります。)(注: 式の青い部分は上記の式の説明に従って追加されており、論理的です)


オリジナルの液浸リソグラフィーは非常に簡単で、ウェハーレジスト上に厚さ 1mm の水を追加します。水は 193nm の光の波長を 134nm に屈折させることができます。 その後、高NAレンズ、マルチライト、FinFET、ピッチスプリット、バンドスズキフ​​ォトレジスト技術の継続的な改良により、現在の7nm/10nmのみが使用されましたが、これが193nmリソグラフィー装置の限界です。 既存の技術条件では、NA の開口数を向上させるのは容易ではありません。現在使用されているレンズの NA 値は 0.33 です。以前、ASML がカール ツァイスに 20 億ドルを投資したというニュースがあったことを覚えているかもしれません。両国は協力して新しいEUVリソグラフィー装置を開発することになるが、多くの人はEUVリソグラフィー装置とツァイスとの関係を知らない。 ASMLとツァイスの協力は、将来のEUVリソグラフィー装置の解像度をさらに向上させる鍵となるNA 0.5の光学レンズを開発することであることを理解すべきであるが、高NA EUVリソグラフィー装置は少なくとも2025年から2030年までに開発される予定である。実現はまだ遠いし、光学レンズの進歩は電子製品に比べてはるかに難しい。 NA値はしばらく改善できないため、リソグラフィー装置は光源を変更することを選択し、193nmのDUV光源を13.5nmの波長のEUVに置き換えました。これにより、リソグラフィー装置の解像度も大幅に向上できます。


1990 年代後半、誰もが 193nm リソグラフィー光源に代わる技術を模索し、157nm 光源、電子ビーム投影、イオン投影、X 線、EUV などを提案しましたが、現在の結果から言えば EUV のみです。成功です。 当初、Intel と米国エネルギー省が主導し、MOTOROLA、AMD などの企業と米国の 3 つの国立研究所が集まって EUV LLC を設立し、ASML も EUV LLC のメンバーとして招待されました。 1997 年から 2003 年にかけて、EUV LLC の数百人の科学者が EUV リソグラフィーの実現可能性を実証する多数の論文を発表し、その後 EUV LLC は解散しました。

写真3.png


2006年に世界初のEUV露光機試作機

次に ASML は、2006 年に EUV リソグラフィー装置の試作機を立ち上げ、2007 年に 10,000 平方メートルのクリーンスタジオを建設し、2010 年に最初の研究開発試作機 NXE3100 を作成し、2015 年に最終的に量産試作機を作成しました。開発プロセス、インテル、サムスン、TSMC、これらの半導体メーカーの輸血は絶対に多いです。


EUVリソグラフィーが可能な世界で唯一のメーカーであるASMLは当然ながら大量の受注を獲得し、2019年第2四半期時点でASMLのNEX:3400B EUVリソグラフィー装置の設置台数は38台に達し、今年下半期には彼らは、より効率的な NEX:3400C リソグラフィー マシンを発売しました。 2019年通年で合計26台のEUVリソグラフィー装置が納入され、年間収益の31%を占める27億8,900万ユーロの収益をもたらし、ArFi遠紫外線リソグラフィー装置は82台を販売した年間では 47 億 6,700 万ユーロを稼ぎ出しました。これは、EUV リソグラフィー装置一式がどれほどの金額であるかを示しています。 新しい NEX:3400C は、生産能力が 1 時間あたり 125 枚のウェハから 170 枚のウェハに増加し、売上が大幅に増加しました。


EUV リソグラフィー装置は 1 台あたり 1 億 2,000 万ドル近くと非常に高価ですが、7nm 以上のプロセスには EUV リソグラフィー装置が必要であるため、半導体メーカーは投資に積極的です。同じ 7nm プロセスの場合、トランジスタの後に EUV リソグラフィー技術が使用されます。 TSMC データによると、オリジナルの 7nm プロセスと比較して、密度とパフォーマンスが向上しています。7nm EUV (N7+) は、同じ消費電力レベルで密度が 1.2 倍、パフォーマンスが 10% 向上、または 15 倍向上します。同じパフォーマンスで % の電力節約。


現在、SamsungとTSMCは7nm EUVプロセスを使用してチップの生産を開始しており、今年発売予定のAMD Zen 3アーキテクチャの第4世代RydragonプロセッサはTSMC 7nm EUVプロセスであり、Intelの現在の10nmプロセスはまだEUVテクノロジーを使用していませんが、 7nmプロセス期にはEUVリソグラフィーが使用される予定です。 国内SMICもASMLにEUV露光装置を発注しているが、さまざまな問題があり納期はまだ明らかになっていない。


Fountyl Technologies PTE Ltdは半導体製造業界に焦点を当てており、主な製品にはピンチャック、多孔質セラミックチャック、セラミックエンドエフェクタ、セラミック角形ビーム、セラミックスピンドルが含まれます。お問い合わせと交渉を歓迎します!