Leave Your Message
Principe de l'équipement de polissage chimique et mécanique CMP et introduction des fabricants d'équipements nationaux et étrangers

Nouvelles

Principe de l'équipement de polissage chimique et mécanique CMP et introduction des fabricants d'équipements nationaux et étrangers

2024-05-18

Le polissage chimico-mécanique (CMP) est une technique utilisée pour lisser la surface de la plaquette lors du processus de fabrication des puces IC. Par réaction chimique entre le liquide de polissage et la surface de la plaquette, une couche d'oxyde facile à traiter se forme, puis la surface d'oxyde est éliminée par action mécanique. Une surface plane et uniforme de la plaquette est formée après plusieurs actions chimiques et mécaniques alternées. L'équipement CMP est un ensemble de mécanique, mécanique des fluides, chimie des matériaux, traitement fin, logiciels de contrôle et autres domaines de la technologie la plus avancée dans l'un des équipements de traitement de circuits intégrés, il est plus complexe et plus difficile de développer l'un des équipements.


Principe de l'équipement de polissage chimico-mécanique

Le dispositif CMP presse la plaquette à polir sur un tampon de polissage élastique. Lors du polissage, la pâte à polir circule en continu entre la plaquette et le tampon de polissage. L'opération inverse à grande vitesse des plaques supérieure et inférieure provoque le décollement continu des produits de réaction sur la surface de la tranche, et la nouvelle pâte à polir est ajoutée, et les produits de réaction sont emportés avec la pâte à polir. Des réactions chimiques se produisent sur la surface de la plaquette nouvellement exposée, et les produits sont retirés et recyclés, formant une surface ultrafine sous l'action combinée du substrat, des particules abrasives et des réactifs chimiques, comme le montre la figure.

Principe de fonctionnement de l'équipement CMP


Développement d’équipements de polissage chimico-mécanique

La technologie CMP a été proposée pour la première fois par Monsanto en 1965, mais n'était initialement utilisée que pour obtenir des surfaces en verre de haute qualité, telles que celles des télescopes militaires. Le premier équipement CMP utilisé sur les tranches de silicium a été développé par IBM dans son usine d'East Fishkill au milieu des années 1980 à l'aide de polis Strasbaugh. En 1988, IBM a commencé à utiliser la technologie CMP pour fabriquer des DRAM 4M. En 1990, IBM avait vendu 4 millions de DRAM utilisant la technologie CMP à Micron Technology et s'était associé à Motorola pour produire des composants PC pour Apple. Après qu'IBM ait appliqué avec succès le CMP à la production de 64 Mo de DRAM en 1991, la technologie CMP s'est développée rapidement dans le monde entier et depuis lors, divers circuits logiques et mémoires sont passés au CMP à différentes échelles de développement. En 1994, avec la production en série d'appareils de 0,5 μm et le développement du procédé de 0,35 μm, le procédé CMP est progressivement entré dans la chaîne de production et le marché des équipements s'est initialement formé.


Analyse du marché des équipements et consommables de polissage chimico-mécanique

Le marché CMP est principalement divisé en marché des équipements et marché des consommables, dont les consommables représentent près de 68 %, et l'équipement ne représente que 32 %, cette section sera donc combinée avec les équipements et les consommables pour une analyse complète. L'équipement CMP fait généralement référence à la machine à polir les plaquettes, les consommables CMP comprennent principalement la pâte à polir, le tampon de polissage, l'agent de nettoyage, etc., parmi lesquels la machine à polir, la pâte à polir, le tampon à polir sont les trois éléments clés du processus CMP et ses performances. et la correspondance détermine dans une large mesure le niveau de planéité de la surface que la puce peut atteindre après CMP.


Analyse du marché des équipements CMP

Bien que la proportion du marché des équipements CMP soit bien inférieure à celle des consommables, il s'agit de l'élément le plus central du processus CMP en raison de son contenu technique plus élevé. Selon les données de l'Institut chinois de recherche économique, la taille du marché mondial des équipements CMP en 2020 sera de 1,767 milliard de dollars et de 2,783 milliards de dollars en 2021, avec une croissance d'une année sur l'autre de 57,48 %. La taille du marché mondial devrait atteindre 3,076 milliards de dollars en 2022. Les équipements CMP deviennent de plus en plus importants dans l'industrie des semi-conducteurs, et la société américaine Industry ARC prévoit que la taille du marché des équipements CMP maintiendra un taux de croissance composé de 7,2 %. de 2020 à 2025 et atteindra 3,61 milliards de dollars en 2025. Selon l'analyse du marché de l'industrie des équipements CMP 2020 réalisée par le Prospective Industry Research Institute, la Chine est devenue le plus grand marché, représentant un total de 35 % du marché mondial, dont le continent. le marché représentait 25 % et le marché taïwanais 10 % ; La Corée du Sud, les États-Unis, le Japon et l'Europe représentent respectivement 26 %, 13 %, 9 % et 7 % du marché mondial. Selon l'institut de recherche, les États-Unis et le Japon ouvrent la voie dans la fabrication d'équipements CMP, les matériaux appliqués (AMAT) des États-Unis et Ebara Machinery (Ebara) du Japon représentant respectivement 70 % et 25 % du marché mondial. . De plus, l'Allemand Peter Wolters détient environ 3 % du marché mondial ; Du point de vue intérieur, plus de 82 % du marché continental est occupé par des entreprises étrangères, les progrès de la localisation des équipements CMP sont sérieusement insuffisants, seuls Tianjun Mécanique et électrique, Tianjin Huahai Qingke occupent 12 % du marché continental, soit 6 %. En outre, le China Electronics Technology Group Company 45 Institute (appelé « Institut CLP 45 ») mène des activités de recherche et de développement d'équipements CMP. Dernier développement d'Applied Materials, d'Ebara Machinery Manufacturing Company et de Pete Walters, les trois géants de l'équipement CMP, Applied Materials est le plus grand fournisseur d'équipement CMP. En 2003, Applied Materials a mis fin à la production de tous les équipements 8 pouces et s'est concentré sur les équipements CMP 12 pouces. Comme le montre la figure

Équipement CMP


La dernière génération de matériaux appliqués est le ReflexionTM-LK300, qui fait partie de la famille classique Mirra, qui fournit des solutions d'aplatissement hautes performances éprouvées en production de 150 mm et 200 mm pour le silicium, l'isolation par tranchée peu profonde (STI), l'oxyde, le polysilicium, le tungstène métallique et le cuivre. applications d'incrustation. Ses plateaux tournants plats à grande vitesse et ses têtes de meulage multizones ont une basse pression pour une excellente uniformité et efficacité. Le post-nettoyant CMP intégré Mesa équipé de MIRRA élimine efficacement le lisier, empêche la formation de résidus et minimise les particules et les traces d'eau. Pour les applications de mosaïque de cuivre, l'équipement CMP d'Applied Materials est équipé de la technologie de nettoyage et de rinçage Desica de 200 mm, qui utilise des séchoirs à vapeur Marangoni pour un séchage rapide et efficace sans filigrane. Le ReflexionTM-LK 300 offre des capacités de mesure en ligne et de contrôle de processus avancées avec une approche complète du point final, garantissant un excellent contrôle et une répétabilité des processus dans et entre les tranches pour toutes les applications d'aplatissement.


Équipement CMP rotatif

Peter Walters est un fabricant d'équipements semi-conducteurs bien connu en Europe, se concentre sur la recherche et le développement d'équipements CMP et possède une conception et une compréhension uniques dans le domaine des matériaux silicium CMP. L'équipement CMP produit par Pete Walters comprend principalement les PM200-Apollo, PM200 GEMINI, PM300-Apollo, HFP200, HFP300, etc., qui sont des équipements CMP rotatifs, parmi lesquels la dernière génération de type PM300-Apollo est un équipement de traitement CMP en silicium de 300 mm. La fonction de séchage en mouillage ou de séchage en séchage peut être réalisée selon différentes configurations. Comme le montre la figure 9-4, le HFP300 est le dernier équipement de traitement de tranches de silicium de 300 mm développé par Peter Wolters, qui améliore l'efficacité de la production de 30 % par rapport à la génération précédente.

Image 15.png


Les fabricants nationaux de CMP sont principalement Tianjun Mechanical and Electrical, Huahai Qingke, CLP 45. De plus, Sheng Mei Semiconductor a également lancé des produits d'équipement CMP en 2019, devenant ainsi une nouvelle force d'équipement CMP national. Tianjun Electromechanical, le nom complet est Shanghai Tianjun Electromechanical Equipment Co., LTD., a été fondée en 2005, est une collection de produits chimiques, un système d'alimentation en liquide abrasif, une recherche et un développement d'équipements de nettoyage humide, une production, une vente et un service en tant que l'un des entreprises par actions. Principalement engagé dans le système chimique CDS, le système de liquide abrasif SDS, le processus de gravure humide WPS, la recherche et le développement d'équipements de nettoyage par ultrasons de précision, la production et la fabrication.


Le prédécesseur de Huahai Qingke est l'équipe de recherche créée en 2000 sous la direction de l'académicien Luo Jianbin et du professeur Lu Xinchun. En 2012, l'académicien Luo Jianbin a dirigé l'équipe de recherche pour développer avec succès le premier équipement CMP sec sur sec de 12 pouces doté de droits de propriété intellectuelle indépendants en Chine. En mars 2013, Tsinghua Holdings et la municipalité de Tianjin ont investi à Huahai Qingke. Promouvoir le processus d’industrialisation des acquis scientifiques et technologiques. En 2014, Huahai Qingke a développé le premier modèle commercial CMP à entrée et sortie sèches de 12 pouces - Universal-300, comme le montre la figure 9-6. La machine est entrée dans l'usine SMIC de Pékin en 2015, a passé avec succès l'évaluation SMIC et a réalisé des ventes en 2016.

Image 16.png


L'équipement CMP de Senmei est principalement utilisé dans le processus d'interconnexion en cuivre de 65 à 45 nm pour les packages back-end. Senmei Semiconductor maîtrise la technologie de polissage sans contrainte des plaquettes, et des prototypes utilisant cette technologie ont été achetés par Intel et LSI Logic. Lors du « SEMICON China 2019 » à Shanghai en mars 2019, Shengmei Semiconductor a une fois de plus lancé un équipement avancé de lancement de cuivre d'emballage, et le nouvel équipement de lancement de cuivre d'emballage est développé pour le processus d'emballage de puces d'intelligence artificielle (IA). Les puces AI avec plus de broches nécessitent un nouveau processus d'emballage tridimensionnel et un nouvel équipement d'emballage, et le processus de polissage nécessite une poudre de polissage très coûteuse. Le dernier schéma d'équipement UltraSFP ap335 de Semi Semiconductor est présenté. Pour les exigences du processus d'emballage 2,5D, l'UltraSFP ap335 utilise un processus d'électropolissage humide, intégrant un polissage sans contrainte (SFP), un meulage chimico-mécanique (CMP) et un processus de gravure humide (gravure humide). Il réduit non seulement la consommation de poudre à polir d'environ 90 %, mais récupère également le cuivre présent dans le liquide de polissage. Étant donné que le liquide chimique de l’électropolissage peut être recyclé à plusieurs reprises, il peut permettre d’économiser plus de 80 % du coût des fournitures.

Image 17.png


Analyse du marché des consommables CMP

Selon les données du Prospective Industry Research Institute, la taille du marché mondial des liquides de polissage et des tampons de polissage en 2020 atteindra respectivement 2,01 milliards de dollars et 1,32 milliards de dollars. En 2019, la distribution sur le marché de divers consommables dans CMP représentait une part élevée de 48,1 %, et le tampon de polissage représentait 31,6 % de la part classée deuxième, qui sont les principales composantes du marché des consommables CMP.


1) Le fluide de polissage est l’un des éléments clés du CMP et ses performances affectent directement la qualité de la surface polie. La composition de la pâte à polir est principalement composée de trois parties : un milieu de corrosion, un agent filmogène et un agent auxiliaire, des nanoparticules abrasives. La pâte à polir doit répondre aux exigences d'une vitesse de polissage rapide, d'une bonne uniformité de polissage et d'un nettoyage facile après polissage. La dureté des particules abrasives ne doit pas être trop élevée pour garantir que les dommages mécaniques à la surface du film soient relativement légers. En 2019, le marché mondial des liquides de polissage CMP s'élevait à 2,01 milliards de dollars, et le marché était principalement monopolisé par des sociétés telles que Cabot Corporation et Versum aux États-Unis et Fujimi au Japon. Cabot est le premier fournisseur mondial de fluides de polissage, avec un chiffre d'affaires de 411 millions de dollars en 2019 et la part de marché la plus élevée, mais sa domination diminue d'année en année, sa part de marché passant d'environ 80 % en 2000 à environ 35 % en 2019. Versum est un fabricant établi de matériaux avancés et de matériaux de traitement aux États-Unis, avec environ 20 % du marché mondial des fluides de polissage en 2019. Fujimi est une société japonaise axée sur la recherche et le développement de matériaux abrasifs et sur la vente de CMP. le liquide de polissage a atteint 14,621 milliards de yens en 2019, soit environ 15 % de la part mondiale. Le principal fournisseur en Chine est Anji Microelectronics Technology (Shanghai) Co., LTD. (ci-après dénommée « Anji Technology »), qui a été créée dans le nouveau district de Pudong, à Shanghai, en 2004, et se spécialise dans une gamme complète de matériaux de polissage et de photorésists CMP, avec un chiffre d'affaires total de 208 millions de yuans en 2019.


2) Le tampon de polissage, également connu sous le nom de tampon de meulage CMP, est principalement composé d'un matériau en polyuréthane contenant un matériau de remplissage, utilisé pour contrôler la dureté du tampon de laine. La partie saillante de la surface du tampon de polissage entre directement en contact et entre en friction avec la plaquette, et le liquide de polissage est pulvérisé uniformément sur la surface du tampon de polissage pour éliminer la couche de polissage, et enfin le liquide de polissage élimine le produit de réaction du tampon de polissage. Les propriétés du tampon de polissage affectent directement la qualité de surface de la plaquette et sont l'un des facteurs directs liés à l'effet d'aplatissement.


FOUNTYL TECHNOLOGIES PTE. LTD. était situé à Singapour, nous nous concentrons sur la recherche et le développement, la fabrication et les services techniques de pièces en céramique de précision dans le domaine des semi-conducteurs depuis plus de 10 ans. nos produits principaux sont le mandrin en céramique, l'effecteur final en céramique, le piston en céramique et la poutre carrée en céramique, y compris le département R&D, le département QC, le département de conception et le département commercial.