Leave Your Message
Ba gã khổng lồ ngành đúc phát động cuộc chiến 2nm

Tin tức

Ba gã khổng lồ ngành đúc phát động cuộc chiến 2nm

2024-05-08

Các công ty bán dẫn hàng đầu thế giới đang chạy đua sản xuất chip 2nm để cung cấp năng lượng cho thế hệ điện thoại thông minh, trung tâm dữ liệu và trí tuệ nhân tạo (AI) tiếp theo.Các nhà phân tích vẫn cho rằng TSMC đang duy trì sự thống trị toàn cầu trong lĩnh vực này, nhưng Samsung Electronics và Intel coi bước nhảy vọt tiếp theo của ngành là cơ hội để thu hẹp khoảng cách.

Hình 4.png


Trong nhiều thập kỷ, các nhà sản xuất chip đã nỗ lực tạo ra những sản phẩm nhỏ gọn hơn. Các bóng bán dẫn trên chip càng nhỏ thì mức tiêu thụ điện năng càng thấp và tốc độ càng nhanh. Ngày nay, 2nm và 3nm được sử dụng rộng rãi để mô tả từng thế hệ chip mới hơn là kích thước vật lý thực tế của chất bán dẫn. Bất kỳ công ty nào dẫn đầu về công nghệ trong thế hệ chất bán dẫn tiên tiến tiếp theo, một ngành chiếm hơn 500 tỷ USD doanh số bán chip toàn cầu vào năm ngoái, sẽ nắm quyền dẫn đầu. Con số này dự kiến ​​​​sẽ còn tăng hơn nữa do nhu cầu về chip trung tâm dữ liệu hỗ trợ các dịch vụ AI tổng quát tăng cao.


TSMC đã trình diễn quy trình nguyên mẫu N2

TSMC, công ty thống trị thị trường chip toàn cầu, đã trình bày kết quả thử nghiệm quy trình của nguyên mẫu “N2” (2nm) cho một số khách hàng lớn nhất của mình như Apple và Nvidia, theo hai người quen thuộc với vấn đề này. TSMC cho biết chip N2 sẽ bắt đầu sản xuất hàng loạt vào năm 2025, điển hình là ra mắt phiên bản di động trước, với Apple là khách hàng chính. Phiên bản PC cũng như chip điện toán hiệu năng cao (HPC) được thiết kế để tải điện năng cao hơn sẽ ra mắt sau. Điện thoại thông minh hàng đầu mới nhất của Apple, iPhone 15 Pro và Pro Max, đã được ra mắt vào tháng 9 và là những thiết bị tiêu dùng đại chúng đầu tiên sử dụng công nghệ chip 3nm mới của TSMC. Khi các con chip trở nên nhỏ hơn, thách thức trong việc chuyển đổi từ công nghệ xử lý thế hệ này hoặc "nút" sang thế hệ tiếp theo ngày càng lớn, làm tăng khả năng TSMC mắc sai lầm có thể khiến vương miện của họ bị tuột mất. TSMC cho biết quá trình phát triển công nghệ N2 của họ “đang tiến triển tốt và đang trên đà đạt được sản xuất hàng loạt vào năm 2025, và một khi được ra mắt, sẽ là công nghệ bán dẫn tiên tiến nhất trong ngành về mật độ và hiệu quả năng lượng”. Nhưng Lucy Chen, phó chủ tịch của Isaiah Research, lưu ý rằng chi phí chuyển sang nút tiếp theo đang tăng lên, trong khi những cải tiến về hiệu suất đã chững lại. [Chuyển sang thế hệ tiếp theo] không còn hấp dẫn khách hàng nữa."


Samsung muốn 2nm trở thành kẻ thay đổi cuộc chơi

Hai người thân cận với Samsung cho biết nhà sản xuất chip Hàn Quốc đang cung cấp phiên bản giá thấp hơn của nguyên mẫu 2nm mới nhất để thu hút sự quan tâm từ các khách hàng tên tuổi, bao gồm cả Nvidia. James Lim, nhà phân tích tại quỹ phòng hộ Dalton Investments của Mỹ, cho biết: “Samsung coi 2nm là yếu tố thay đổi cuộc chơi”. Nhưng vẫn còn nghi ngờ về việc liệu nó có thể thực hiện quá trình di chuyển tốt hơn TSMC hay không." Các chuyên gia nhấn mạnh rằng 2nm vẫn còn hai năm nữa mới có thể sản xuất hàng loạt và các vấn đề ban đầu là một phần tự nhiên của quá trình sản xuất chip.

Theo công ty nghiên cứu TrendForce, Samsung có 25% thị phần trên thị trường đúc tiên tiến toàn cầu, so với 66% của TSMC, và những người trong nội bộ Samsung nhìn thấy cơ hội để thu hẹp khoảng cách. Samsung là công ty đầu tiên bắt đầu sản xuất hàng loạt chip 3nm hay còn gọi là "SF3" vào năm ngoái và là công ty đầu tiên chuyển sang kiến ​​trúc bóng bán dẫn mới có tên GAA (cổng vòm).

Theo hai người quen thuộc với vấn đề này, Qualcomm đang có kế hoạch sử dụng chip "SF2" của Samsung trong bộ xử lý ứng dụng điện thoại thông minh cao cấp (AP) tiếp theo của mình. Sau khi chuyển hầu hết các chip di động hàng đầu của mình từ quy trình 4nm của Samsung sang quy trình tương đương của TSMC, lựa chọn mới của Qualcomm sẽ đánh dấu sự thay đổi vận mệnh của Samsung.

Samsung cho biết: "Chúng tôi có vị trí tốt để đạt được sản xuất hàng loạt SF2 vào năm 2025. Vì chúng tôi là công ty đầu tiên thực hiện bước nhảy vọt và chuyển đổi sang kiến ​​trúc GAA, chúng tôi kỳ vọng quá trình chuyển đổi từ SF3 sang SF2 sẽ tương đối suôn sẻ."

Các nhà phân tích cảnh báo rằng mặc dù Samsung là công ty đầu tiên đưa chip 3nm ra thị trường nhưng hãng này vẫn đang phải vật lộn với các vấn đề về năng suất hoặc tỷ lệ chip được sản xuất được coi là sẵn sàng giao cho khách hàng.

Samsung khẳng định năng suất 3nm của họ đã được cải thiện. Nhưng theo hai người thân cận với Samsung, hiệu suất của những con chip 3nm đơn giản nhất của Samsung chỉ đạt 60%, thấp hơn nhiều so với mong đợi của khách hàng và hiệu suất có thể giảm hơn nữa khi tạo ra những con chip phức tạp hơn như A17 Pro của Apple hay bộ xử lý đồ họa (Gpus) của Nvidia.

Dylan Patel, nhà phân tích chính của công ty nghiên cứu SemiAnalysis cho biết: “Samsung đang cố gắng tạo ra những bước nhảy vọt khổng lồ này”. Họ có thể tuyên bố tất cả những gì họ muốn, nhưng họ vẫn chưa cho ra đời con chip 3nm xứng đáng với tên gọi đó.” Lee Jong-hwan, giáo sư kỹ thuật bán dẫn hệ thống tại Đại học Sangmyung ở Seoul, nói thêm rằng bộ phận thiết kế chip và điện thoại thông minh của Samsung là một bộ phận quan trọng. đối thủ cạnh tranh khốc liệt với khách hàng tiềm năng của chip logic do bộ phận sản xuất theo hợp đồng của họ sản xuất và rằng "Cấu trúc của Samsung đã khiến nhiều khách hàng tiềm năng lo lắng về khả năng rò rỉ công nghệ hoặc thiết kế."


Intel quay lại cạnh tranh sản xuất theo hợp đồng

Intel cũng đang đưa ra những tuyên bố táo bạo rằng họ sẽ sản xuất thế hệ chip tiếp theo vào cuối năm 2024. Điều đó có thể giúp hãng vượt lên dẫn trước các đối thủ châu Á, mặc dù vẫn còn nghi ngờ về hiệu suất của các sản phẩm của mình.

Đồng thời, Intel đang quảng bá nút xử lý Intel 18A (tương đương 1,8nm) thế hệ mới tại các hội nghị công nghệ và cung cấp sản phẩm thử nghiệm miễn phí cho các công ty thiết kế chip. Intel cho biết họ sẽ bắt đầu sản xuất Intel 18A vào cuối năm 2024, điều này có thể khiến họ trở thành nhà sản xuất chip đầu tiên chuyển sang quy trình thế hệ tiếp theo.

Nhưng Wei Che-jia, chủ tịch TSMC, có vẻ không lo lắng. Ông cho biết vào tháng 10 rằng dựa trên đánh giá nội bộ của công ty, 3nm mới nhất (đã có mặt trên thị trường) có thể so sánh với Intel 18A về sức mạnh, hiệu suất và mật độ.

Cả Samsung và Intel đều hy vọng sẽ được hưởng lợi từ những khách hàng tiềm năng, những người giảm sự phụ thuộc vào TSMC, dù vì lý do kinh doanh hay vì lo ngại về các mối đe dọa địa chính trị tiềm ẩn. Vào tháng 7, Giám đốc điều hành AMD Zi-Fung Su cho biết công ty sẽ “xem xét các khả năng sản xuất khác” ngoài những khả năng do TSMC cung cấp vì công ty theo đuổi “tính linh hoạt” cao hơn.

Leslie Wu, Giám đốc điều hành của công ty tư vấn RHCC, cho biết các khách hàng lớn yêu cầu công nghệ cấp độ 2nm đang tìm cách mở rộng sản xuất chip trên nhiều xưởng đúc và “chỉ dựa vào TSMC là quá rủi ro”. Nhưng Mark Li, nhà phân tích chất bán dẫn châu Á của Bernstein, đã đặt câu hỏi “các yếu tố (địa chính trị) quan trọng đến mức nào so với những thứ như hiệu quả và tiến độ vẫn còn gây tranh cãi”. TSMC vẫn có lợi thế về chi phí, hiệu quả và sự tin cậy.”


Fountyl Technologies PTE Ltd, đang tập trung vào ngành sản xuất chất bán dẫn, các sản phẩm chính bao gồm: Mâm cặp chốt, mâm cặp gốm xốp, bộ tác động cuối bằng gốm, dầm vuông gốm, trục chính bằng gốm, vui lòng liên hệ và đàm phán!